Redirigiendo al acceso original de articulo en 18 segundos...
Inicio  /  Information  /  Vol: 14 Par: 9 (2023)  /  Artículo
ARTÍCULO
TITULO

Attacking Deep Learning AI Hardware with Universal Adversarial Perturbation

Mehdi Sadi    
Bashir Mohammad Sabquat Bahar Talukder    
Kaniz Mishty and Md Tauhidur Rahman    

Resumen

Universal adversarial perturbations are image-agnostic and model-independent noise that, when added to any image, can mislead the trained deep convolutional neural networks into the wrong prediction. Since these universal adversarial perturbations can seriously jeopardize the security and integrity of practical deep learning applications, the existing techniques use additional neural networks to detect the existence of these noises at the input image source. In this paper, we demonstrate an attack strategy that, when activated by rogue means (e.g., malware, trojan), can bypass these existing countermeasures by augmenting the adversarial noise at the AI hardware accelerator stage. We demonstrate the accelerator-level universal adversarial noise attack on several deep learning models using co-simulation of the software kernel of the Conv2D function and the Verilog RTL model of the hardware under the FuseSoC environment.